CVD of MOFs for low-k dielectrics

CVD of MOFs for low-k dielectrics

Our work on the vapor-deposited zeolitic imidazolate frameworks as gap-filling ultra-low-k dielectrics has now been published in Nature Communications. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young’s modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics.

The paper is accessible via an open-access platform through this link.

Navigation

Social Media